CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl AMPLITUDE MODULATION

搜索资源列表

  1. ASK.VHDL

    0下载:
  2. ASK调制VHDL程序及仿真 基于VHDL硬件描述语言,对基带信号进行ASK振幅调制-ASK modulation VHDL simulation based on the procedures and VHDL hardware descr iption language, the baseband signal amplitude modulation ASK
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:42322
    • 提供者:少龙
  1. fpga-fpdpsk

    0下载:
  2. FSK/PSK调制顶层文件 ,正弦波模块 ,正弦波模块初始化文件 ,振幅调整及波形选择模块 ,频率显示值地址产生模块 ,频率步进键核心模块 ,弹跳消除电路-FSK/PSK modulation top-level documents, sine-wave modules, module initialization file sine wave, amplitude adjustment and waveform selection module, the freque
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:27490
    • 提供者:libing
  1. ASK_VHDL

    0下载:
  2. ASK调制VHDL程序 --文件名:PL_ASK --功能:基于VHDL硬件描述语言,对基带信号进行ASK振幅调制 -ASK modulation VHDL procedures- File Name: PL_ASK- features: VHDL hardware descr iption language based on the base-band signal amplitude modulation ASK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:42317
    • 提供者:huangsong
  1. FPGA_AM

    0下载:
  2. 基于cyclone系列FPGA的模拟幅度调制的VHDL代码-Cyclone series FPGA-based simulation of VHDL code amplitude modulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:642005
    • 提供者:李承运
  1. hilbert_transformer_latest.tar

    0下载:
  2. The Hilbert Transform is an important component in communication systems, e.g. for single sideband modulation/demodulation, amplitude and phase detection, etc. It can be formulated as filtering operation which makes it possible to approximate the Hil
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1238852
    • 提供者:Arun
  1. ask

    0下载:
  2. 通信系统数字信号调制,振幅监控ask信号的调制和解调的VHDL代码-Communication systems digital signal modulation, amplitude control ask signal modulation and demodulation of the VHDL code for
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-02
    • 文件大小:806
    • 提供者:lihao
  1. 4ask

    0下载:
  2. 数字通信系统4进制振幅键控4ASK信号的调制的VHDL代码-Digital Communication Systems 4 binary amplitude shift keying modulation 4ASK signal VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:543
    • 提供者:lihao
  1. ask_fsk

    0下载:
  2. 数字通信系统振幅键控ASK信号和频移键控FSK的调制与解调的VHDL代码-ASK amplitude shift keying digital communication system signal and the frequency shift keying modulation and demodulation of the VHDL code for
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1692
    • 提供者:lihao
  1. ASK-VHDL

    0下载:
  2. 基于VHDL硬件描述语言,对基带信号进行ASK振幅调制-VHDL hardware descr iption language based on the ASK baseband amplitude modulation signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:42132
    • 提供者:海玲
  1. Universal_Asynchronous_Receiver-Transmitter

    0下载:
  2. 可以实现二进制振幅键控、二进制频移键控和二进制相位键控的解调和调制功能。-Can achieve binary amplitude shift keying, binary frequency shift keying and binary phase shift keying demodulation and modulation functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:632457
    • 提供者:太阳之神
  1. ASK

    0下载:
  2. 基于VHDL硬件描述语言,对基带信号进行ASK振幅调制-VHDL hardware descr iption language based on the ASK baseband amplitude modulation signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:42044
    • 提供者:李海平
  1. CFFPGA_AMy

    0下载:
  2. 基于cyclone系列FPGGA的模拟幅度调制的VHDL代码 -Based the the cyclone series of FPGGA analog amplitude modulation VHDL code
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-03
    • 文件大小:643545
    • 提供者:马润
  1. 8.9-ASK-of-VHDL

    0下载:
  2. ASK调制VHDL程序及仿真:基于VHDL硬件描述语言,对基带信号进行ASK振幅调制-ASK modulation and VHDL simulation: based on the VHDL hardware descr iption language ASK amplitude modulation, the baseband signal
  3. 所属分类:MPI

    • 发布日期:2017-11-29
    • 文件大小:42223
    • 提供者:jack
  1. Multifunction-modem-based-on-VHDL

    0下载:
  2. 调制解调器是在发送端通过调制将数字信号转换成模拟信号,而在接收端通过解调将模拟信号转换为数字信号的一种装置。这个程序用VHDL语言编写,实现了二进制振幅键控(2ASK)的调制与解调;二进制频移键控(2FSK)的调制与解调,二进制相位键控(2PSK)的调制与解调过程。 多功能调制解调器-The modem is modulated by the transmission side converts the digital signal into an analog signal by the de
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1759867
    • 提供者:李丽
  1. 8.9 ASK调制与解调VHDL程序及仿真

    0下载:
  2. ASK调制VHDL程序及仿真,功能:基于VHDL硬件描述语言,对基带信号进行ASK振幅调制(ASK modulation VHDL program and simulation, function: Based on the VHDL hardware descr iption language, the baseband signal is ASK amplitude modulation)
  3. 所属分类:matlab例程

    • 发布日期:2018-04-29
    • 文件大小:41984
    • 提供者:少年高飞
搜珍网 www.dssz.com